首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 频率计VHDL编程。设计一个4位数字显示的十进制频率计

频率计VHDL编程。设计一个4位数字显示的十进制频率计

  • 资源大小:89 K
  • 上传时间:2021-03-30
  • 下载次数:0次
  • 浏览次数:32次
  • 资源积分:1积分
  • 标      签: VHDL 频率计 编程 数字显示

资 源 简 介

频率计VHDL编程。设计一个4位数字显示的十进制频率计,其测量范围为1MHz,测量值通过4个数码管显示以8421BCD码形式输出,可通过开关实现量程控制,量程分10kHz、100kHz、1MHz三档(最大读数分别为9.999kHz、99.99kHz、999.9kHz); 当输入信号的频率大于相应量程时,有溢出显示。
VIP VIP