首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 设计一个字节(8 位)比较器。 要求:比较两个字节的大小

设计一个字节(8 位)比较器。 要求:比较两个字节的大小

  • 资源大小:8 K
  • 上传时间:2021-04-02
  • 下载次数:0次
  • 浏览次数:47次
  • 资源积分:1积分
  • 标      签: 字节 比较器 比较

资 源 简 介

设计一个字节(8 位)比较器。 要求:比较两个字节的大小,如a[7:0]大于 b[7:0]输出高电平,否则输出低电平,改写测试 模型,使其能进行比较全面的测试 。
VIP VIP