首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > VHDL的数字时钟程序 24小时计数显示; 具有校时功能(时

VHDL的数字时钟程序 24小时计数显示; 具有校时功能(时

  • 资源大小:13 K
  • 上传时间:2021-04-21
  • 下载次数:0次
  • 浏览次数:34次
  • 资源积分:1积分
  • 标      签: VHDL 数字时钟 程序 校时

资 源 简 介

VHDL的数字时钟程序 24小时计数显示; 具有校时功能(时,分) ; 实现闹钟功能(定时,闹响);
VIP VIP