首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 电子书籍 > VERILOG HDL硬件描述语言

VERILOG HDL硬件描述语言

  • 资源大小:4915
  • 上传时间:2021-10-21
  • 下载次数:0次
  • 浏览次数:134次
  • 资源积分:1积分
  • 标      签: Verilog HD

资 源 简 介

本书简要介绍了Verilog硬件描述语言的基础知识,包括语言的基本内容和基本结构 ,以及利用该语言在各种层次上对数字系统的建模方法。书中列举了大量实例,帮助读者掌握语言本身和建模方法,对实际数字系统设计也很有帮助。本书是Verilog HDL的初级读本,适用于作为计算机、电子、电气及自控等专业相关课程的教材,也可供有关的科研人员作为参考书。 目      录译者序前言第1章   简介 11.1   什么是Verilog HDL? 11.2   历史 11.3   主要能力 1第2章   HDL指南 42.1   模块 42.2   时延 52.3   数据流描述方式 52.4   行为描述方式 62.5   结构化描述形式 82.6   混合设计描述方式 92.7   设计模拟 10第3章   Verilog语言要素 143.1   标识符 143.2   注释 143.3   格式 143.4   系统任务和函数 153.5   编译指令 153.5.1   `define和`undef 153.5.2   `ifdef、`else 和`endif 163.5.3   `default_nettype 163.5.4   `include 163.5.5   `resetall 163.5.6   `TImescale 163.5.7   `unconnected_drive和           `nounconnected_drive 183.5.8   `celldefine 和 `endcelldefine 183.6   值集合 183.6.1   整型数 183.6.2   实数 193.6.3   字符串 203.7   数据类型 203.7.1   线网类型 203.7.2   未说明的线网 233.7.3   向量和标量线网 233.7.4   寄存器类型 233.8   参数 26第4章   表达式 284.1   操作数 284.1.1   常数 284.1.2   参数 294.1.3   线网 294.1.4   寄存器 294.1.5   位选择 294.1.6   部分选择 294.1.7   存储器单元 304.1.8   函数调用 304.2   操作符 304.2.1   算术操作符 314.2.2   关系操作符 334.2.3   相等关系操作符 334.2.4   逻辑操作符 344.2.5   按位操作符 354.2.6   归约操作符 364.2.7   移位操作符 364.2.8   条件操作符 374.2.9   连接和复制操作 374.3   表达式种类 38第5章   门电平模型化 395.1   内置基本门 395.2   多输入门 395.3   多输出门 415.4   三态门 415.5   上拉、下拉电阻 425.6   MOS开关 425.7   双向开关 445.8   门时延 445.9   实例数组 455.10   隐式线网 455.11   简单示例 465.12   2-4解码器举例 465.13   主从触发器举例 475.14   奇偶电路 47第6章   用户定义的原语 496.1   UDP的定义 496.2   组合电路UDP 496.3   时序电路UDP 506.3.1   初始化状态寄存器 506.3.2   电平触发的时序电路UDP 506.3.3   边沿触发的时序电路UDP 516.3.4   边沿触发和电平触发的混合行为 516.4   另一实例 526.5   表项汇总 52第7章   数据流模型化 547.1   连续赋值语句 547.2   举例 557.3   线网说明赋值 557.4   时延 557.5   线网时延 577.6   举例 577.6.1   主从触发器 577.6.2   数值比较器 58第8章   行为建模 598.1   过程结构 598.1.1   iniTIal 语句 598.1.2   always语句 618.1.3   两类语句在模块中的使用 628.2   时序控制 638.2.1   时延控制 638.2.2   事件控制 648.3   语句块 658.3.1   顺序语句块 668.3.2   并行语句块 678.4   过程性赋值 688.4.1   语句内部时延 698.4.2   阻塞性过程赋值 708.4.3   非阻塞性过程赋值 718.4.4   连续赋值与过程赋值的比较 728.5   if 语句 738.6   case语句 748.7   循环语句 768.7.1   forever 循环语句 768.7.2   repeat 循环语句 768.7.3   while 循环语句 778.7.4   for 循环语句 778.8   过程性连续赋值 788.8.1   赋值—重新赋值 788.8.2   force与release 798.9   握手协议实例 80第9章   结构建模 839.1   模块 839.2   端口 839.3   模块实例语句 839.3.1   悬空端口 849.3.2   不同的端口长度 859.3.3   模块参数值 859.4   外部端口 879.5   举例 89第10章   其他论题 9110.1   任务 9110.1.1   任务定义 9110.1.2   任务调用 9210.2   函数 9310.2.1   函数说明部分 9310.2.2   函数调用 9410.3   系统任务和系统函数 9510.3.1   显示任务 9510.3.2   文件输入/输出任务 9710.3.3   时间标度任务 9910.3.4   模拟控制任务 9910.3.5   定时校验任务 10010.3.6   模拟时间函数 10110.3.7   变换函数 10210.3.8   概率分布函数 10210.4   禁止语句 10310.5   命名事件 10410.6   结构描述方式和行为描述方式的          混合使用 10610.7   层次路径名 10710.8   共享任务和函数 10810.9   值变转储文件 11010.9.1   举例 11110.9.2   VCD文件格式 11210.10   指定程序块 11310.11   强度 11410.11.1   驱动强度 11410.11.2   电荷强度 11510.12   竞争状态 116第11章   验证 11811.1   编写测试验证程序 11811.2   波形产生 11811.2.1   值序列 11811.2.2   重复模式 11911.3   测试验证程序实例 12311.3.1   解码器 12311.3.2   触发器 12411.4   从文本文件中读取向量 12611.5   向文本文件中写入向量 12711.6   其他实例 12811.6.1   时钟分频器 12811.6.2   阶乘设计 13011.6.3   时序检测器 132第12章   建模实例 13612.1   简单元件建模 13612.2   建模的不同方式 13812.3   时延建模 13912.4   条件操作建模 14112.5   同步时序逻辑建模 14212.6   通用移位寄存器 14512.7   状态机建模 14512.8   交互状态机 14712.9   Moore有限状态机建模 15012.10   Mealy型有限状态机建模 15112.11   简化的21点程序 153附录   语法参考 157参考文献 172
VIP VIP