首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 电子书籍 > VLSI Circuit Design Methodolog

VLSI Circuit Design Methodolog

  • 资源大小:4301
  • 上传时间:2021-09-06
  • 下载次数:0次
  • 浏览次数:55次
  • 资源积分:1积分
  • 标      签: VLSI

资 源 简 介

CHAPTER 1 THE BIG PICTURE 11. What is a chip? 12. What are the requirements of a successful chip design? 33. What are the challenges in today’s very deep submicron 4(VDSM), mulTImillion gate designs?4. What major process technologies are used in today’s design 5environment?5. What are the goals of new chip design? 86. What are the major approaches of today’s very large scale 9integraTIon (VLSI) circuit design pracTIces?7. What is standard cell-based, applicaTIon-specific integrated 11circuit (ASIC) design methodology?8. What is the system-on-chip (SoC) approach? 129. What are the driving forces behind the SoC trend? 1510. What are the major tasks in developing a SoC chip from 15concept to silicon?11. What are the major costs of developing a chip? 16CHAPTER 2 THE BASICS OF THE CMOS PROCESS 17AND DEVICES12. What are the major process steps in building MOSFET 17transistors?13. What are the two types of MOSFET transistors? 1914. What are base layers and metal layers? 2015. What are wafers and dies? 2416. What is semiconductor lithography? 2817. What is a package? 33CHAPTER 3 THE CHALLENGES IN VLSI CIRCUIT DESIGN 4118. What is the role of functional verification in the IC 41design process?19. What are some of the design integrity issues? 4420. What is design for testability? 4621. Why is reducing the chip’s power consumption so important? 4822. What are some of the challenges in chip packaging? 4923. What are the advantages of design reuse? 5024. What is hardware/software co-design? 5125. Why is the clock so important? 5426. What is the leakage current problem? 5727. What is design for manufacturability? 6028. What is chip reliability? 6229. What is analog integration in the digital environment? 6530. What is the role of EDA tools in IC design? 6731. What is the role of the embedded processor in the SoC 69environment?CHAPTER 4 CELL-BASED ASIC DESIGN METHODOLOGY 7332. What are the major tasks and personnel required in a chip 73design project?33. What are the major steps in ASIC chip construction? 7434. What is the ASIC design flow? 7535. What are the two major aspects of ASIC design flow? 7736. What are the characteristics of good design flow? 8037. What is the role of market research in an ASIC project? 8138. What is the optimal solution of an ASIC project? 8239. What is system-level study of a project? 8440. What are the approaches for verifying design at the 85system level?41. What is register-transfer-level (RTL) system-level description? 8642. What are methods of verifying design at the register-transfer- 87level?43. What is a test bench? 8844. What is code coverage? 8945. What is functional coverage? 8946. What is bug rate convergence? 9047. What is design planning? 9148. What are hard macro and soft macro? 9249. What is hardware description language (HDL)? 9250. What is register-transfer-level (RTL) description of hardware? 9351. What is standard cell? What are the differences among standard 94cell, gate-array, and sea-of-gate approaches?52. What is an ASIC library? 10353. What is logic synthesis? 10554. What are the optimization targets of logic synthesis? 10655. What is schematic or netlist? 10756. What is the gate count of a design? 11157. What is the purpose of test insertion during logic synthesis? 11158. What is the most commonly used model in VLSI circuit testing? 11259. What are controllability and observability in a digital circuit? 11460. What is a testable circuit? 11561. What is the aim of scan insertion? 11662. What is fault coverage? What is defect part per million (DPPM)? 11763. Why is design for testability important for a product’s 119financial success?64. What is chip power usage analysis? 12065. What are the major components of CMOS power consumption? 12166. What is power optimization? 12367. What is VLSI physical design? 12368. What are the problems that make VLSI physical design so 124challenging?69. What is floorplanning? 12870. What is the placement process? 13171. What is the routing process? 13372. What is a power network? 13573. What is clock distribution? 13974. What are the key requirements for constructing a clock tree? 14375. What is the difference between time skew and length skew in a 145clock tree?76. What is scan chain? 14977. What is scan chain reordering? 15178. What is parasitic extraction? 15279. What is delay calculation? 15580. What is back annotation? 15681. What kind of signal integrity problems do place and route 156tools handle?82. What is cross-talk delay? 15783. What is cross-talk noise? 15884. What is IR drop? 15985. What are the major netlist formats for design representation? 16286. What is gate-level logic verification before tapeout? 16287. What is equivalence check? 16388. What is timing verification? 16489. What is design constraint? 16590. What is static timing analysis (STA)? 16591. What is simulation approach on timing verification? 16992. What is the logical-effort-based timing closure approach? 17393. What is physical verification? 17894. What are design rule check (DRC), design verification (DV), 179and geometry verification (GV)?95. What is schematic verification (SV) or layout versus 181schematic (LVS)?96. What is automatic test pattern generation (ATPG)? 18297. What is tapeout? 18498. What is yield? 18499. What are the qualities of a good IC implementation designer? 187Conclusion 189Acronyms 191Bibliography 195Index 199
VIP VIP