首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 电子书籍 > 基于FPGA的LCD&VGA控制器设计

基于FPGA的LCD&VGA控制器设计

  • 资源大小:136
  • 上传时间:2021-10-02
  • 下载次数:0次
  • 浏览次数:28次
  • 资源积分:1积分
  • 标      签: 控制器

资 源 简 介

介绍了基于FPGA的图形式LCD&VGA控制器的设计,详细讨论了用VHDL设计行场扫描时序的方法,这种设计方法稍作改动便可产生任意行场扫描时序,具有很好的可重用性。该控制器已成功地在某型飞机座舱图形显示系统中使用。关键词:仪表装置 LCD VHDL FPGA VGA
VIP VIP