首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > VHDL的180例代码

VHDL的180例代码

  • 资源大小:858KB
  • 上传时间:2021-10-01
  • 下载次数:0次
  • 浏览次数:27次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

基础的实例代码   【例3-1】 2选1多路选择器程序。 (P31)      LIBRARY IEEE; --IEEE库使用说明语句   USE IEEE.STD_LOGIC_1164.ALL;   ENTITY mux21 IS --实体说明部分   PORT(   a,b : IN STD_LOGIC;   s: IN STD_LOGIC;   y: OUT STD_LOGIC   );   END ENTITY mux21;   ARCHITECTURE mux21a OF mux21 IS --结构体说明部分   BEGIN   PROCESS(a,b,s)   BEGIN   IF s=‘0’ THEN y《=a;   ELSE   y《=b;   END IF;   END PROCESS;   END ARCHITECTURE mux21a;      【例3-2】 有类属说明的2输入与非门的实体描述。 (P33)      ENTITY nand2 IS   GENERIC ( t_rise : TIME := 2ns ;   t_fall : TIME := 1ns )   PORT( a: IN BIT;   b : IN BIT;   s : OUT BIT);   END ENTITY nand2;
VIP VIP