首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > allegro > allegro原理图分册教程

allegro原理图分册教程

资 源 简 介

allegro原理图分册教程 在中兴使用的是Cadence 板级设计中的原理图输入工具为Design Entry HDL,它可以灵活高效地将原理图送入计算机,并生成后继工具能够处理的数据。Design Entry HDL 设计环境支持行为和结构的设计描述,并综合了模块编辑功能。Design Entry HDL 将原理图分成很多页,每次只显示1 页。Design Entry HDL 是参考编辑器,因为原理图中的所有元件都是参考不同的库,可以用归档功能将所用的库归档到一起。
VIP VIP