首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 电源技术 > 基于FPGA的PWM发生器的设计与实现

基于FPGA的PWM发生器的设计与实现

  • 资源大小:4.25 MB
  • 上传时间:2021-08-28
  • 下载次数:0次
  • 浏览次数:43次
  • 资源积分:1积分
  • 标      签: PWM fpga

资 源 简 介

PWM(脉冲宽度调制)是一种利用数字信号来控制模拟电路的控制技术,广泛应用于电源、电机、伺服系统、通信系统、电予控制器、功率控制等电力电子设备。PWM技术在逆变电路中的应用最为广泛,也是变频技术的核心,同时在机床,液压位置控制系统等机械装置中也发挥着重要的作用。PWM技术已经成为控制领域的一个热点,因此研究PWM发牛器对于基础理论的发展和技术的改进都有十分重要的意义。   本文档论文研究的丰要内容是用任意波形作为调制信号通过特定的方法来产生所需要的PWM波形,任意波形的合成和PWM波形的生成是两个主要任务。任意波形的合成是课题设计的一个难点,也是影响系统性能的关键因素之一。论文中波形合成采用直接数字频率合成(DDS)技术来实现。DDS技术以相位为地址,通过查找离散幅度数据进行波形合成,具有输出波形相位变化连续、分辨率高、频率转换速率快的优点,而且通过设置控制字可灵活方便地改变输出频率,是目前波形合成的丰流方法。      形状不同而冲量相同的各种窄脉冲
VIP VIP