首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > ref ddr sdram vhdl源代码

ref ddr sdram vhdl源代码

  • 资源大小:23
  • 上传时间:2021-08-25
  • 下载次数:0次
  • 浏览次数:17次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

File/Directory    Description=============================================doc    DDR SDRAM reference design documentationmodel    Contains the vhdl SDRAM model oute    Contains the Quartus 2000.05 project files a routed controller designsimulation   Contains the vhdl testbench, modelsim project file, and librarysource    Contains the vhdl source files for the DDR SDRAM reference designsynthesissynplicity  Contains all synplicity project files associated with synthesizing the reference design
VIP VIP