首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > 使用Verilog语言实现方波和三角波的函数发生器的论文说明

使用Verilog语言实现方波和三角波的函数发生器的论文说明

  • 资源大小:0.67 MB
  • 上传时间:2021-08-24
  • 下载次数:0次
  • 浏览次数:22次
  • 资源积分:1积分
  • 标      签: Verilog 发生器 示波器

资 源 简 介

在基于QUARTUS2软件平台下,运用Verilog硬件描述语言来进行编写两种波形(方波和阶梯波)发生的程序,并结合DE2板与DVCC实验板上的D/A转换器在示波器显示出波形。初步了解Verilog的编程及DE2板的应用,加强对其的实际应用操作能力。运用DE2上的DAC实现方波、三角波频率、占空比可设置。阶梯波信号频率、幅度可调。在完成基本要求的基础上,可进一步增加功能、提高性能。2.功能实验内容:利用简易函数发生器基本要求:运用DE2上的DAC实现方波、三角波发生器功能。方波频率、占空比可设置。阶梯波信号频率、幅度可调。在完成基本要求的基础上,可进一步增加功能、提高性能。
VIP VIP