首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 电源技术 > 如何使用FPGA控制ADC0809设计一个量程为5V的数字电压表详细资料概述

如何使用FPGA控制ADC0809设计一个量程为5V的数字电压表详细资料概述

  • 资源大小:0.36 MB
  • 上传时间:2021-08-22
  • 下载次数:0次
  • 浏览次数:37次
  • 资源积分:1积分
  • 标      签: 数字电压 ADC0809 fpga

资 源 简 介

使用FPGA控制ADC0809,设计一个量程为5V的数字电压表。要求采用3位数码管显示电压值,可以显示小数点的后面两位。   START为转换启动信号。当START为上跳沿时,所有内部寄存器清零;下跳沿时,开始进行A/D转换;在转换期间,START应保持低电平。EOC为转换结束信号。当EOC为高电平时,表明转换结束;否则,表明正在进行A/D转换。OUTPUTENABLE为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。OE=1,输出转换得到的数据;OE=0,输出数据线呈高阻状态。D7-D0为数字量输出线。CLK为时钟输入信号线。因ADC0809的内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ。
VIP VIP