首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > VHDL语言实现简单的序列检测器

VHDL语言实现简单的序列检测器

  • 资源大小:59KB
  • 上传时间:2021-07-13
  • 下载次数:0次
  • 浏览次数:20次
  • 资源积分:1积分
  • 标      签: VHDL语言

资 源 简 介

设计序列检测器的VHDL语言。
VIP VIP