首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 数字频率计VHDL程序 --文件名:plj.vhd。 --功能:频率计。具有4位显示

数字频率计VHDL程序 --文件名:plj.vhd。 --功能:频率计。具有4位显示

  • 资源大小:2 K
  • 上传时间:2021-03-30
  • 下载次数:0次
  • 浏览次数:23次
  • 资源积分:1积分
  • 标      签: VHDL plj vhd 数字频率计

资 源 简 介

数字频率计VHDL程序 --文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。
VIP VIP