首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > 如何在VHDL设计中使用库模块

如何在VHDL设计中使用库模块

  • 资源大小:0.27 MB
  • 上传时间:2021-07-02
  • 下载次数:0次
  • 浏览次数:34次
  • 资源积分:1积分
  • 标      签: vhdl 计数器 Altera

资 源 简 介

本文档的主要内容详细介绍的是如何在VHDL设计中使用库模块。本教程解释了如何将Altera的库模块包含在基于VHDL的设计中,这些设计是使用QuartusR:II软件实现的。内容:示例电路参数化模块库LPM增广电路增广设计结果实际设计通常包括常用的电路块,如加法器、减法器、乘法器、解码器、计数器和移位器。Altera以库模块的形式提供了这些块的有效实现,这些库模块可以在VHDL设计中实例化。编译器可以识别VHDL代码中指定的标准函数可以使用库模块来实现,在这种情况下,编译器可以自动推断出该模块。但是,许多库模块提供的功能过于复杂,编译器无法自动识别。这些模块必须在设计中由用户显式实例化。QuartusR:II软件包括一个参数化模块库(LPM),这些模块在结构上是通用的,并且通过指定general的值来定制特定的应用程序参数。做什么在本教程中,读者将了解:•参数化模块库(LPM)•配置用于电路的LPM•在设计电路中实例化LPM教程中的详细示例是使用QuartusII7.1版获得的,但也可以使用该软件的其他版本。
VIP VIP