首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > sopc技术实用教程/基础教程

sopc技术实用教程/基础教程

  • 资源大小:3333
  • 上传时间:2021-06-21
  • 下载次数:0次
  • 浏览次数:94次
  • 资源积分:1积分
  • 标      签: SoPC

资 源 简 介

sopc技术实用教程/基础教程介绍了在电子工程技术前沿领域中正被日益广泛应用的SOPC解决方案及其技术。内容包括实现SOPC解决方案相关的工具软件及其使用方法、设计理论和设计实例。sopc技术实用教程/基础教程主要分为三部分:(1)SOPC设计环境工具软件Quartus II的使用方法;(2)SP Builder和MATLAB的现代DSP硬件设计技术及其其相关的Nios系统硬件加速器与自定制指令的设计方法;(3)SOPC Builder的Nion嵌入式系统软硬件开发技术具体内容包括Quartus II基本用法、设计流程向导、常用的优化技术、逻辑锁定优化技术、嵌入式逻辑分析仪使用方法、Cyclone等FPGA器件用法及其配置方法,基于DSP Builder的DSP与数字通信模块设计方法,Nios嵌入式系统硬件配置与生成、系统综合、软件调试以及指令定制等。 本书可作为电子类各专业本科生、研究生的教材和相关领域工程技术人员的参考书;也可作为本科EDA技术课程的后续课程教材和现代电子系统设计、电子设计竞赛、DSP应用系统、数字通信系统以及Nios嵌入式系统高层次开发的参考书。 SOPC技术实用教程 目录 第1章 概述1.1 SOC单片系统1.2 SOPC及其技术1.3 基于FPGA和SOPC技术的处理器1.4 基于FPGA和SOPC技术的DSP第2章 Quartus II基本使用方法2.1 IT.弦信号发生器设计2.1.1 设计原理2.1.2 创建工程和编辑设计文件2.1.3 创建工程2.1.4 编译前设置2.1.5 编译2.1.6 定制ROM初始化数据文件2.1.7 定制ROM元件2.1.8 再次全程编译并了解编译结果2.1.9 仿真2.1.10 应用RTL电路图观察器2.2 引脚锁定和编程下载2.2.1 引脚锁定2.2.2 SOF文件下载2.2.3 对配置器件编程2.3 使用在系统嵌入式存储器数据编辑器2.4 使用嵌入式逻辑分析仪进行实时测试2.4.1 应用SignalTap II测试singt2.4.2 编辑触发函数2.5 嵌入式锁相环altPLL宏功能模块调用2.5.1 建立嵌入式锁相环PLL元件2.5.2 测试锁相环PLL【习题】【实验2-1】正弦信号发生器设计实验【实验2-2】基于DDS的数字移相信号发生器设计实验第3章 适配与时序优化设置3.1 优化设置与时序分析3.1.1 Settings设置3.1.2 HDL版本设置及Analysis&Synthesis功能3.1.3 Analysis&Synthesis的优化设置3.1.4 Fitter设置3.1.5 增量布局布线控制设置3.1.6 使用Design Assistant检查设计可靠性3.1.7 时序设置与分析3.1.8 查看时序分析结果3.1.9 适配优化设置3.2 原理图与VHDL文本混合输入设计3.2.1 设计16位VHDL加法器3.2.2 8位乘法累加器顶层原理图设计3.2.3 仿真第4章 逻辑锁定技术4.1 LogicLock技术的基本内容4.1.1 LogicLock技术解决系统设计优化4.1.2 LogicLock的基本内容4.1.3 锁定区域的基本方式4.1.4 层次化逻辑锁定区域4.1.5 LogicLock技术的不同应用流程4.1.6 系统性能强化策略4.1.7 锁定区域的移植与再利用4.2 流水线乘法器结构与未锁定前特性4.3 应用逻辑锁定技术4.3.1 pipemult模块设计4.3.2 确定逻辑锁定区域及其特性4.3.3 将设计实体移至锁定区域4.3.4 编译优化锁定后的pipemult模块4.3.5 输出逻辑锁定约束信息4.3.6 将VQM文件加入进顶层工程4.3.7 输入逻辑锁定约束【习题】【实验4-1】用逻辑锁定优化技术设计流水线乘法器实验【实验4-2】用逻辑锁定优化技术设计16阶数字滤波器实验第5章 Matlab/DSP Builder设计向导5.1 Matlab/DSP Builder及其设计流程5.2 可控正弦信号发生器设计5.2.1 建立设计模型5.2.2 Simulink模型仿真5.2.3 SignalCompiler使用方法5.2.4 使用ModelSim进行RTL级仿真5.2.5 使用Quartus II实现时序仿真5.2.6 硬件测试与硬件实现5.3 DSP Builder层次化设计DSP Builder的子系统(SubSystem)5.4 DSP Builder的状态机设计5.4.1 FIFO控制状态机设计示例5.4.2 状态机设计流程5.5 自动设计流程和SignalTap II的用法5.5.1 安装SignalTap II Node模块5.5.2 系统仿真和硬件测试5.5.3 信号节点的资源利用情况5.6 元件编辑窗整理【习题】【实验5-1】利用Matlab/DSP Builder设计基本电路模块实验..第6章 DSP与数字通信模块设计6.1 FIR数字滤波器设计6.1.1 FIR滤波器原理6.1.2 使用DSP Builder设计FIR滤波器6.1.3 使用Matlab的滤波器设计工具6.2 IIR数字滤波器设计6.2.1 IIR滤波器原理6.2.2设计4阶直接II型IIR滤波器6.3 直接数字合成器设计6.3.1 DDS模块设计6.3.2 FSK调制器设计6.3.3 正交信号发生器设计6.3.4 数字移相信号发生器设计6.4 数字编码与译码器设计6.4.1 伪随机序列6.4.2 帧同步检出6.4.3 RS石马6.4.4 Viterbi译码【习题】【实验6-1】FIR数字滤波器设计实验【实验6-2】IIR数字滤波器设计实验【实验6-3】基于DSP Builder的DDS设计实验【实验6-4】编译码器设计实验【实验6-5】正交幅度调制与解调模型设计实验第7章 SOPC设计初步7.1 Nios嵌入式CPU核7.2 Nios嵌入式系统设计流程7.2.1 Nios系统硬件开发流程7.2.2 Nios系统软件开发流程7.3 Nios系统设计实例7.3.1 Nios硬件系统开发流程7.3.2 Nios系统软件开发流程7.4 Nios系统软件设计说明7.4.1 Nios SDK目录概述7.4.2 编辑输入并保存C源文件7.4.3 源程序分析7.4.4 编译源程序7.4.5 下载程序代码7.4.6 使用GNU Debug调试程序7.4.7 Nios SDK Shell部分命令使用【习题】【实验7-1】设计一个简单的SOPC系统第8章 Nios外设及其编程8.1 串口UART8.1.1 UART的寄存器定义8.1.2 UART外部硬件连接8.1.3 UART软件数据结构8.1.4 UART编程8.2 PIO8.2.1 PIO类型8.2.2 PIO寄存器定义8.2.3 PIO软件数据结构8.2.4 LED8.2.5 数码管8.2.6 按键8.2.7 LCD8.2.8 PIO简单输入输出操作示例8.3 定时器编程8.3.1 定时器概述8.3.2 定时器寄存器定义8.3.3 定时器软件数据结构8.3.4 定时器程序设计示例8.4 片内存储器8.5 SRAM8.6 Flash【习题】【实验8-1】简单测控系统串口接收程序设计【实验8-2】GSM短信模块程序设计第9章 Nios软件开发进阶9.1 Nios软件开发工具9.2 中断编程概述9.3 串口中断9.3.1 串口寄存器及其软件数据结构9.3.2 串口中断程序设计示例9.4 按键中断9.5 定时器中断定时器程序设计示例【习题】【实验9-1】秒表程序设计第10章 深入了解Nios系统设计10.1 Nios处理器结构10.1.1 Nios处理器内部结构10.1.1 内部寄存器组织10.1.3 存储器组织10.1.4 Nios指令集10.2 使用ModelSim对Nios进行仿真10.2.1 使用SOPC Builder生成ModelSim的仿真步骤10.2.2 使用ModelSim仿真10.3 Avalon总线简介10.3.1 Avalon总线传输类犁及时序10.4 自定制Avalon从外设10.4.1 设计PWM自定义组件10.4.2 添加PWM组件到Nios系统10.4.3 PWM软件数据结构10.4.4 PWM软件编程示例10.5 DMA10.5.1 DMA传输过程10.5.2 DMA寄存器定义10.5.3 DMA控制器软件数据结构及子程序10.5.4 DMA控制器设置10.6 自定制Avalon流模式外设10.7 GERMS Monitor监控程序10.8 Flash编程10.9 使用其他SRAM和Flash【习题】【实验10-1】Avalon Slave外设(PWM模块)设计【实验10-2】Avalon Slave外设(数码管动态扫描显示模块)设计第11章 Nios综合设计示例11.1 计时器设计11.1.1 计时器的Nios硬件设计11.1.2 计时器软件功能设计11.1.3 计时器软件设计步骤11.2 俄罗斯方块游戏机设计11.2.1 硬件系统结构11.2.2 Avalon流模式VGA控制器设计11.2.3 VGA控制器外设在SOPC Builder中的安装11.2.4 汉字和英文字符点阵库11.2.5 俄罗斯方块游戏功能设计11.2.6 俄罗斯方块游戏软件设计【习题】【实验11-1】简单计算器程序设计【实验11-2】VGA显示终端设计第12章 自定制Nios指令12.1 自定制指令概述12.1.1 Nios自定制指令的硬件结构12.1.2 Nios自定制指令模块信号线12.1.3 Nios自定制指令类型与格式12.1.4 Nios自定制指令实现方式12.2 自定制指令设计示例12.2.1 基于VHDL的乘法指令和加法指令实现方法12.2.2 基于VHDL的复数乘法指令实现12.2.3 基于MATLAB/DSP Builder的Nios指令实现方法【实验12-1】为Nios设计乘法累加器指令【实验12-2】为Nios设计浮点乘法器【实验12-3】为Nios设计对HFO操作的控制指令【实验12-4】FFT算法设计附录A SOPC/DSP实验开发系统附录B 实验电路结构图附录C GW48 SOPC系统实验信号名与芯片引脚对照表参考文献
VIP VIP