首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 8位相等比较器

8位相等比较器

  • 资源大小:2 K
  • 上传时间:2021-03-30
  • 下载次数:0次
  • 浏览次数:54次
  • 资源积分:1积分
  • 标      签: 8位 比较器

资 源 简 介

8位相等比较器,比较8位数是否相等 -- 8-bit Identity Comparator -- uses 1993 std VHDL -- download from www.pld.com.cn & www.fpga.com.cn
VIP VIP