首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > NITRO-SOC 数字实现:适用于先进工艺的下一代布局和布线系统

NITRO-SOC 数字实现:适用于先进工艺的下一代布局和布线系统

  • 资源大小: 2.49MB
  • 上传时间:2021-06-12
  • 下载次数:0次
  • 浏览次数:32次
  • 资源积分:1积分
  • 标      签: Mentor 布局和布线系统 电路

资 源 简 介

Mentor  Nitro-SoC  是一个全面的新一代网表到  GDSII  实施平台,旨在用于复杂的先进工艺设计,支持实现最佳  QoR和最快的周转时间。由于设计复杂度不断提高,设计规模越来越大,功耗/性能目标高远,因此在先进工艺中实施大型设计变得比以往任何时候都更困难。Nitro-SoC  系统解决了前沿工艺节点遇到的上市时间、性能、功耗、容量、面积和变化的挑战。Nitro-SoC  是全新构建的系统,完全重写了所有核心引擎,布局和布线全流程实现了并行化,可提供最佳运行时间和  QoR。Nitro-SoC  的架构可处理先进工艺技术的复杂多重曝光和  FinFET  要求,提供行业最高的容量,其数据库则非常紧凑且可扩展。
VIP VIP