首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/Verilog/EDA源码 > 波形发生程序

波形发生程序

  • 资源大小:68 K
  • 上传时间:2021-05-28
  • 下载次数:0次
  • 浏览次数:66次
  • 资源积分:1积分
  • 标      签: 波形发生 程序

资 源 简 介

实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出。
VIP VIP