首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > verilog编写的16qam调制程序

verilog编写的16qam调制程序

  • 资源大小:6 K
  • 上传时间:2021-05-11
  • 下载次数:0次
  • 浏览次数:24次
  • 资源积分:1积分
  • 标      签: verilog qam 16 编写

资 源 简 介

verilog编写的16qam调制程序,将所有东西装入工程,运行mmm16主程序。其中载波为一个周期采十个点,并乘以2^8-1取整数。在quartusII运行通过。
VIP VIP