首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > ALTERA FPGA开发软件 > Synplify.Pro.v7.6.rar

Synplify.Pro.v7.6.rar

  • 资源大小:56235 K
  • 上传时间:2021-05-03
  • 下载次数:0次
  • 浏览次数:130次
  • 资源积分:1积分
  • 标      签: Synplify Pro

资 源 简 介

Synplify Pro是一个高级综合工具。其高级综合能力可以使你看到HDL代码在各种器件(FPGA/Structed ASIC/cell-based ASIC)上实现结果而无须对代码作任何修改。从而选择最合适的方式来实现它,或选择最合适的供应商。最主要的功能还是FPGA综合。
VIP VIP