首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 在LCD上显示事先就输入好的字符,可以任意改变的

在LCD上显示事先就输入好的字符,可以任意改变的

  • 资源大小:412 K
  • 上传时间:2021-05-02
  • 下载次数:0次
  • 浏览次数:33次
  • 资源积分:1积分
  • 标      签: LCD 输入 字符 改变

资 源 简 介

在LCD上显示事先就输入好的字符,可以任意改变的
VIP VIP