首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 关于一个串行数据输入 根据时序将数据分两路输出的程序

关于一个串行数据输入 根据时序将数据分两路输出的程序

  • 资源大小:2 K
  • 上传时间:2021-04-28
  • 下载次数:0次
  • 浏览次数:19次
  • 资源积分:1积分
  • 标      签: 串行数据 输入 时序 数据

资 源 简 介

关于一个串行数据输入 根据时序将数据分两路输出的程序
VIP VIP