首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 一个四位二进制加/减运算器。 要求:当控制端G=0时做加运算

一个四位二进制加/减运算器。 要求:当控制端G=0时做加运算

  • 资源大小:220 K
  • 上传时间:2021-04-26
  • 下载次数:0次
  • 浏览次数:38次
  • 资源积分:1积分
  • 标      签: 二进制 运算器 控制

资 源 简 介

一个四位二进制加/减运算器。 要求:当控制端G=0时做加运算,G=1时做减运算。用发光二极管表示运算结果的正、负。用数码管显示运算结果:加运算时,相加之和不超过15,减运算时,结果可正可负,但都用原码表示。
VIP VIP