首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 本代码是在modelsim下运行的模拟8×8位的CPU

本代码是在modelsim下运行的模拟8×8位的CPU

  • 资源大小:21 K
  • 上传时间:2021-04-22
  • 下载次数:0次
  • 浏览次数:24次
  • 资源积分:1积分
  • 标      签: modelsim CPU 代码 8位

资 源 简 介

本代码是在modelsim下运行的模拟8×8位的CPU,执行程度,对深入理解CPU设计和运行原理具有重要意义
VIP VIP