首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 通讯/手机编程 > VHDL 实现DDS的数字移相信号发生器的设计代码.直接解压打开就可以运行..自己写的代码

VHDL 实现DDS的数字移相信号发生器的设计代码.直接解压打开就可以运行..自己写的代码

  • 资源大小:118 K
  • 上传时间:2021-04-21
  • 下载次数:0次
  • 浏览次数:27次
  • 资源积分:1积分
  • 标      签: VHDL DDS 代码 数字移相

资 源 简 介

VHDL 实现DDS的数字移相信号发生器的设计代码.直接解压打开就可以运行..自己写的代码
VIP VIP