首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > RD1006--I2C与存储器的IP 代码及说明文档

RD1006--I2C与存储器的IP 代码及说明文档

  • 资源大小:202 K
  • 上传时间:2021-04-21
  • 下载次数:0次
  • 浏览次数:33次
  • 资源积分:1积分
  • 标      签: 1006 RD 存储器 代码

资 源 简 介

RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件
VIP VIP