首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 用CPLD驱动扬声器实现音乐的播放

用CPLD驱动扬声器实现音乐的播放

  • 资源大小:257 K
  • 上传时间:2021-04-18
  • 下载次数:0次
  • 浏览次数:36次
  • 资源积分:1积分
  • 标      签: CPLD 驱动 扬声器 播放

资 源 简 介

用CPLD驱动扬声器实现音乐的播放,程序是用VERILOG写的,
VIP VIP