首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 一部分简单时序逻辑电路的VHDL源代码

一部分简单时序逻辑电路的VHDL源代码

  • 资源大小:8 K
  • 上传时间:2021-04-17
  • 下载次数:0次
  • 浏览次数:34次
  • 资源积分:1积分
  • 标      签: VHDL 时序逻辑电路 源代码

资 源 简 介

一部分简单时序逻辑电路的VHDL源代码,未包含状态机描述
VIP VIP