首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 单片机开发 > 步进电机8细分CPLD相序及外部DA输出 实际细分数可达64细分 使用Atmel maxplus2 V10.1软件

步进电机8细分CPLD相序及外部DA输出 实际细分数可达64细分 使用Atmel maxplus2 V10.1软件

  • 资源大小:282 K
  • 上传时间:2021-04-14
  • 下载次数:0次
  • 浏览次数:37次
  • 资源积分:1积分
  • 标      签: maxplus2 Atmel CPLD 10.1

资 源 简 介

步进电机8细分CPLD相序及外部DA输出 实际细分数可达64细分 使用Atmel maxplus2 V10.1软件
VIP VIP