首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 利用VHDL语言编写的一个蛇形的程序

利用VHDL语言编写的一个蛇形的程序

  • 资源大小:291 K
  • 上传时间:2021-04-13
  • 下载次数:0次
  • 浏览次数:31次
  • 资源积分:1积分
  • 标      签: VHDL 语言 编写 程序

资 源 简 介

利用VHDL语言编写的一个蛇形的程序,调试成功能够实现。可以在quarters ii 上运行,欢迎大家使用
VIP VIP