首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > TLC5510 VHDL控制程序 基于VHDL语言

TLC5510 VHDL控制程序 基于VHDL语言

  • 资源大小:4 K
  • 上传时间:2021-04-12
  • 下载次数:0次
  • 浏览次数:42次
  • 资源积分:1积分
  • 标      签: VHDL 5510 TLC 控制

资 源 简 介

TLC5510 VHDL控制程序 基于VHDL语言,实现对高速A/D器件TLC5510控制
VIP VIP