首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 本源码用VHDL语言实现了用键盘控制米字管显示十进制

本源码用VHDL语言实现了用键盘控制米字管显示十进制

  • 资源大小:215 K
  • 上传时间:2021-04-12
  • 下载次数:0次
  • 浏览次数:24次
  • 资源积分:1积分
  • 标      签: VHDL 源码 十进制 语言

资 源 简 介

本源码用VHDL语言实现了用键盘控制米字管显示十进制
VIP VIP