首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 基于vhdl的多功能函数信号发生器的设计

基于vhdl的多功能函数信号发生器的设计

资 源 简 介

基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。
VIP VIP