首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 使用Verilog语言编写的数字钟程序.有慢校时,快校时,闹钟等功能.

使用Verilog语言编写的数字钟程序.有慢校时,快校时,闹钟等功能.

  • 资源大小:6 K
  • 上传时间:2021-04-07
  • 下载次数:0次
  • 浏览次数:27次
  • 资源积分:1积分
  • 标      签: Verilog 校时 语言 编写

资 源 简 介

使用Verilog语言编写的数字钟程序.有慢校时,快校时,闹钟等功能.
VIP VIP