首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 学术论文 > MUSIC算法的FPGA实现

MUSIC算法的FPGA实现

  • 资源大小:2974 K
  • 上传时间:2021-04-02
  • 下载次数:0次
  • 浏览次数:64次
  • 资源积分:1积分
  • 标      签: MUSIC FPGA 算法

资 源 简 介

在军事通信和民用通信的许多场合,都需要估计无线电信号的方向(DOA)。信号子空间方法是阵列测向方法中非常重要的一类。1979年Schmidt提出的 MUSIC算法是信号子空间方法的基础,具有高精度和高分辨的性能。但是由于算法的...
VIP VIP