首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/Verilog/EDA源码 > 10进制计数器VHDL程序

10进制计数器VHDL程序

  • 资源大小:35 K
  • 上传时间:2021-03-31
  • 下载次数:0次
  • 浏览次数:45次
  • 资源积分:1积分
  • 标      签: VHDL 进制计数器 程序

资 源 简 介

10进制计数器VHDL程序 --文件名:counter10.vhd。 --功能:10进制计数器,有进位C
VIP VIP