首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > 如何使用Verilog编写同步RAM

如何使用Verilog编写同步RAM

  • 资源大小:0.02 MB
  • 上传时间:2021-12-02
  • 下载次数:0次
  • 浏览次数:33次
  • 资源积分:1积分
  • 标      签: Verilog Xilinx RAM

资 源 简 介

在FPGA设计实现中,经常要用到RAM,这里的RAM一般指的是是静态的RAM。一般FPGA(如xilinx)中就有所谓的blockRAM,它就是现成的RAM资源,我们如果合理编写verilog代码,就可以使我们想要的RAM被综合成blockRAM,从而节省逻辑资源,而且性能更优。下面是一个单时钟同步RAM的模板:代码已在quartus中验证
VIP VIP