首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > FPGA视频教程之BJ-EPM240学习板SRAM读写实验的详细资料说明

FPGA视频教程之BJ-EPM240学习板SRAM读写实验的详细资料说明

  • 资源大小:0.00 MB
  • 上传时间:2021-11-11
  • 下载次数:0次
  • 浏览次数:37次
  • 资源积分:1积分
  • 标      签: Verilog sram fpga

资 源 简 介

SRAM芯片时字操作大同小异,在这里总结一一些它们共性的东西,也提一些用Verilog简单的快速操作SRAM的技巧。   这里就以本实验使用的IS62LV256-70U为例进行说明。其管脚定义如表所示。   具体在硬件连接的时候,其实很多人喜欢直接把输出使能信号0En和片选信号CEn接地,这样一-来不仅节省了处理器和SRAM连接的管脚数,而且在读写SRAM的时候其实只要对写使能信号WEn操作就可以了,简化了代码部分。本设计的硬件原理图如图5.23所示。
VIP VIP