首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > 如何使用FPGA实现ARINC429接口和总线数据接收

如何使用FPGA实现ARINC429接口和总线数据接收

  • 资源大小:0.33 MB
  • 上传时间:2021-10-26
  • 下载次数:0次
  • 浏览次数:86次
  • 资源积分:1积分
  • 标      签: 耦合器 接口电路 fpga

资 源 简 介

针对目前ARINC429航空总线的应用研究现状,分析常用ARINC429协议芯片的特点,根据ARINC429总线的电气特性,采用光电藕合器HCPI一0631实现ARINC429接口和电平转换,并通过FPGA完成ARINC429总线数据的接收。重点介绍接口电路设计和FPGA中的软件开发,与传统的ARINC429总线数据接收系统相比,具有接口电路简单、具备一定的抗干扰能力、不受协议芯片速率限制等优点.此方法已成功应用于产品中。并对其他串行总线数据接收具有借鉴意义。
VIP VIP