首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > 其他 > FPGA视频教程之MAX.II的UFM模块使用实例详细资料说明

FPGA视频教程之MAX.II的UFM模块使用实例详细资料说明

  • 资源大小:0.00 MB
  • 上传时间:2021-10-15
  • 下载次数:0次
  • 浏览次数:48次
  • 资源积分:1积分
  • 标      签: MAX FlaSh fpga

资 源 简 介

MAX I1的UFM模块使用实例   查看MAX II器件的Chip Planner 如图所示。其左下角这块黑色区域是用户不可用资源区,而在这片不可用区域里有一块绿色的方块是可用的。这块不可用的黑色区域叫做CFMblock(配置Flash存储区),而那个绿色方块叫做UFM(用户可用的Flash存储区)。   也就是说,MAX II其实是内嵌了一块8Kbit的Flash。这个Flash原则上是不占用MAX II的其它可用逻辑资源的,前提是用户读写这块存储区使用altera本身的串行接口(遵循特定的通信协议)。但是这个协议比较繁琐,因此,对于这块存储区读写接口altera提供了四种通用的接口(包括本身的串行接口)供用户选择。
VIP VIP