首页| 行业标准| 论文文档| 电子资料| 图纸模型
购买积分 购买会员 激活码充值

您现在的位置是:团子下载站 > VHDL/FPGA/Verilog > 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通

设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通

资 源 简 介

设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行
VIP VIP